Agile Hardware Design with a Generator-Based Methodology Video